aboutsummaryrefslogtreecommitdiff
path: root/tests/embedded_bram_slave
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-08 17:46:12 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-08 17:46:12 +0200
commitcd0421f0c30fcbe557c89f0fe7cd1b1e5ea42f9c (patch)
treefeb49818e92940cd20586dcb23951fe04e54a2f2 /tests/embedded_bram_slave
parentdfcd082cd2290fb39d8cb322f2ab70f0e8752ef7 (diff)
downloadAGH-engineering-thesis-cd0421f0c30fcbe557c89f0fe7cd1b1e5ea42f9c.tar.gz
AGH-engineering-thesis-cd0421f0c30fcbe557c89f0fe7cd1b1e5ea42f9c.zip
remove trailing whitespace
Diffstat (limited to 'tests/embedded_bram_slave')
-rw-r--r--tests/embedded_bram_slave/test.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/tests/embedded_bram_slave/test.v b/tests/embedded_bram_slave/test.v
index 0ee2833..94225bd 100644
--- a/tests/embedded_bram_slave/test.v
+++ b/tests/embedded_bram_slave/test.v
@@ -68,7 +68,7 @@ module embedded_bram_test();
.MEMORY_BLOCKS(2),
.WORDS_TO_INITIALIZE(`ROM_WORDS_COUNT),
.INITIAL_CONTENTS_FILE("rom.mem")
- ) slave
+ ) slave
(
.ACK_O(S_ACK_O),
.CLK_I(S_CLK_I),