aboutsummaryrefslogtreecommitdiff
path: root/src/Makefile
blob: b8a4ac5ebc5280ace46077ec42d05d1bfba4def6 (plain)
1
2
3
4
5
6
7
8
9
10
11
example.blif : example.v
	yosys -p 'synth_ice40 -top vga_example -blif example.blif' example.v

example.asc : example.blif
	arachne-pnr -d 8k -o example.asc -p example.pcf example.blif -P ct256

example.bin : example.asc
	icepack example.asc example.bin

prog : example.bin
	iceprogduino example.bin