aboutsummaryrefslogtreecommitdiff
path: root/src/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'src/Makefile')
-rw-r--r--src/Makefile11
1 files changed, 11 insertions, 0 deletions
diff --git a/src/Makefile b/src/Makefile
new file mode 100644
index 0000000..b8a4ac5
--- /dev/null
+++ b/src/Makefile
@@ -0,0 +1,11 @@
+example.blif : example.v
+ yosys -p 'synth_ice40 -top vga_example -blif example.blif' example.v
+
+example.asc : example.blif
+ arachne-pnr -d 8k -o example.asc -p example.pcf example.blif -P ct256
+
+example.bin : example.asc
+ icepack example.asc example.bin
+
+prog : example.bin
+ iceprogduino example.bin