aboutsummaryrefslogtreecommitdiff
path: root/models/slave.v
blob: f062a044b72391103282ae53dd4fb8ef87217dbb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
/* A wishbone slave testing module (a "mock") */
`default_nettype none

`include "messages.vh"

`ifndef SIMULATION
 `error_SIMULATION_not_defined
; /* Cause syntax error */
`endif

module memory_slave_model
  #(
    parameter SLAVE_NR = -1,
    parameter WORD_SIZE = 2, /* in bytes */
    parameter GRANULARITY = 2, /* in bytes */ /* we'll use that soon */
    parameter ADR_BITS = 18,
    /* Changing the following 3 allows us to make it function as ROM */
    parameter WRITABLE = 1,
    parameter WORDS_TO_INITIALIZE = 0,
    parameter INITIAL_CONTENTS_FILE = "some_file.mem"
    )
   (
    output wire 		      ACK_O,
    /* output wire 		      ERR_O, */ /* we might use it one day */
    input wire 			      CLK_I,
    input wire [ADR_BITS - 1 : 0]     ADR_I,
    input wire [8*WORD_SIZE - 1 : 0]  DAT_I,
    output wire [8*WORD_SIZE - 1 : 0] DAT_O,
    /* input wire [GRANULARITY - 1 : 0] SEL_I, */ /* we'll use that soon */
    input wire 			      RST_I,
    input wire 			      STB_I,
    input wire 			      WE_I,
    output wire 		      STALL_O
    );

   /*
    * A simple memory slave should be most useful for testing purposes;
    * WARNING! The 'memory' variable might be referenced from outside the module
    * by testbench code - be careful when changing or removing it
    */
   reg [8*WORD_SIZE - 1 : 0] 	      memory [2**ADR_BITS - 1 : 0];

    /* For random stall times and acknowledge times */
   integer 			      seed;

   parameter MAX_STALL_TIME = 7;
   parameter MAX_ACK_WAIT_TIME = 7;

   reg [2:0] 			      stall_time_left;
   reg [2:0] 			      acknowledge_time_left;

   /* incoming command pipeline */
   reg 				      WE_I_pipeline [15:0];
   reg [ADR_BITS - 1 : 0] 	      ADR_I_pipeline [15:0];
   reg [8*WORD_SIZE - 1 : 0] 	      DAT_I_pipeline [15:0];

   reg [3:0] 			      pipeline_oldest_element;
   reg [4:0] 			      pipeline_elements_count;
   wire [3:0] 			      pipeline_index_to_insert;
   assign pipeline_index_to_insert = (pipeline_oldest_element +
				      pipeline_elements_count) % 16;

   reg 				      stall;

   reg 				      WE_I_to_process;
   reg [ADR_BITS - 1 : 0] 	      ADR_I_to_process;
   reg [8*WORD_SIZE - 1 : 0] 	      DAT_I_to_process;

   always @* begin
      if (pipeline_elements_count) begin
	 WE_I_to_process = WE_I_pipeline[pipeline_oldest_element];
	 ADR_I_to_process = ADR_I_pipeline[pipeline_oldest_element];
	 DAT_I_to_process = DAT_I_pipeline[pipeline_oldest_element];
      end else begin
	 WE_I_to_process = WE_I;
	 ADR_I_to_process = ADR_I;
	 DAT_I_to_process = DAT_I;
      end
   end // always @ *

   /* Those are irrelevant if RST_I is high */
   wire 			      pipeline_space_left;
   wire 			      can_accept;
   wire 			      new_command_accepted;
   wire 			      command_available_for_acknowledging;
   wire 			      command_acknowledged;

   assign pipeline_space_left = pipeline_elements_count < 16;
   assign can_accept = pipeline_space_left && stall_time_left == 0;
   assign new_command_accepted = STB_I && can_accept;
   assign command_available_for_acknowledging = pipeline_elements_count ||
						new_command_accepted;
   assign command_acknowledged = acknowledge_time_left == 0 &&
				 command_available_for_acknowledging;


   /* Finally, drive the outputs */

   /* only drive data outputs for read commands */
   assign DAT_O = (command_acknowledged && !WE_I_to_process) ?
		  memory[ADR_I_to_process] : {(8 * WORD_SIZE - 1){1'bx}};

   assign STALL_O = !can_accept;

   assign ACK_O = command_acknowledged;

   initial begin
      pipeline_oldest_element <= 0;
      pipeline_elements_count <= 0;
      seed <= SLAVE_NR;
      stall_time_left <= 0;
      acknowledge_time_left <= 0;

      if (WORDS_TO_INITIALIZE)
	$readmemb(INITIAL_CONTENTS_FILE, memory, 0, WORDS_TO_INITIALIZE - 1);
   end

   always @ (posedge CLK_I) begin
      if (RST_I) begin
	 pipeline_oldest_element <= 0;
	 pipeline_elements_count <= 0;
      end else begin
	 if (new_command_accepted) begin
	    stall_time_left <= $urandom(seed) % (MAX_STALL_TIME + 1);
	    WE_I_pipeline[pipeline_index_to_insert] <= WE_I;
	    ADR_I_pipeline[pipeline_index_to_insert] <= ADR_I;
	    DAT_I_pipeline[pipeline_index_to_insert] <= DAT_I;
	 end else begin
	    if (stall_time_left)
	      stall_time_left <= stall_time_left - 1;
	 end

	 if (command_acknowledged) begin
	    acknowledge_time_left <= $urandom(seed) % (MAX_ACK_WAIT_TIME + 1);
	    pipeline_oldest_element <= pipeline_oldest_element + 1;

	    if (WE_I_to_process) begin /* Write command */
	       if (WRITABLE) begin
		  memory[ADR_I_to_process] <= DAT_I_to_process;
		  `DBG(("Slave %0d: write of h%x at h%x", SLAVE_NR,
			DAT_I_to_process, ADR_I_to_process));
	       end else begin
		  `DBG(({"Slave %0d: error: write of h%x at h%x ",
			 "(read-only) memory"}, SLAVE_NR,
			DAT_I_to_process, ADR_I_to_process));
	       end
	    end else begin /* Read command */
	       `DBG(("Slave %0d: read of h%x at h%x", SLAVE_NR,
		     DAT_O, ADR_I_to_process));
	    end
	 end else if (command_available_for_acknowledging) begin
	    acknowledge_time_left <= acknowledge_time_left - 1;
	 end

	 pipeline_elements_count <= pipeline_elements_count +
				    new_command_accepted - command_acknowledged;
      end
   end
endmodule // memory_slave_model