aboutsummaryrefslogtreecommitdiff
path: root/tests/soc_simple_display/test.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/soc_simple_display/test.v')
-rw-r--r--tests/soc_simple_display/test.v64
1 files changed, 10 insertions, 54 deletions
diff --git a/tests/soc_simple_display/test.v b/tests/soc_simple_display/test.v
index de05ff0..75c2b36 100644
--- a/tests/soc_simple_display/test.v
+++ b/tests/soc_simple_display/test.v
@@ -9,74 +9,30 @@
`endif
module soc_test();
- wire [17:0] sram_addr;
- wire [15:0] sram_io;
- wire sram_cs_n;
- wire sram_oe_n;
- wire sram_we_n;
-
- wire vga_hs;
- wire vga_vs;
- wire [2:0] vga_red;
- wire [2:0] vga_green;
- wire [2:0] vga_blue;
-
- wire led1;
- wire led2;
-
wire [9:0] image_writes;
- reg clock_100mhz;
- reg reset;
-
- VGA_640_480_60Hz vga_display
- (
- .horizontal_sync(vga_hs),
- .vertical_sync(vga_vs),
-
- .red(vga_red),
- .green(vga_green),
- .blue(vga_blue),
+ reg clock_100mhz;
+ reg reset;
- .image_writes(image_writes)
- );
+ wire led1;
+ wire led2;
- K6R4016V1D_TC10_sram sram
- (
- .sram_addr(sram_addr),
- .sram_io(sram_io),
- .sram_cs_not(sram_cs_n),
- .sram_oe_not(sram_oe_n),
- .sram_we_not(sram_we_n)
- );
-
- soc
+ soc_with_peripherals
#(
.FONT_FILE("../../design/font.mem"),
- .ROM_FILE("instructions.mem")
+ .EMBEDDED_ROM_FILE("instructions.mem")
) soc
(
.clock_100mhz(clock_100mhz),
- .sram_addr(sram_addr),
- .sram_io(sram_io),
-
- .sram_cs_n(sram_cs_n),
- .sram_oe_n(sram_oe_n),
- .sram_we_n(sram_we_n),
-
- .vga_hs(vga_hs),
- .vga_vs(vga_vs),
- .vga_red(vga_red),
- .vga_green(vga_green),
- .vga_blue(vga_blue),
-
.button1(!reset),
.button2(1'b1),
.led1(led1),
- .led2(led2)
- );
+ .led2(led2),
+
+ .image_writes(image_writes)
+ );
integer i;