aboutsummaryrefslogtreecommitdiff
path: root/tests/soc_simple_display/test.v
blob: de05ff01e0495e54e8d8a53f967542f31a16a3d3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
`default_nettype none
`timescale 1ns/1ns

`include "messages.vh"

`ifndef SIMULATION
 `error_SIMULATION_not_defined
; /* Cause syntax error */
`endif

module soc_test();
   wire [17:0] sram_addr;
   wire [15:0] sram_io;
   wire        sram_cs_n;
   wire        sram_oe_n;
   wire        sram_we_n;

   wire        vga_hs;
   wire        vga_vs;
   wire [2:0]  vga_red;
   wire [2:0]  vga_green;
   wire [2:0]  vga_blue;

   wire        led1;
   wire        led2;

   wire [9:0] image_writes;

   reg 	       clock_100mhz;
   reg 	       reset;

   VGA_640_480_60Hz vga_display
     (
      .horizontal_sync(vga_hs),
      .vertical_sync(vga_vs),

      .red(vga_red),
      .green(vga_green),
      .blue(vga_blue),

      .image_writes(image_writes)
      );

   K6R4016V1D_TC10_sram sram
     (
      .sram_addr(sram_addr),
      .sram_io(sram_io),
      .sram_cs_not(sram_cs_n),
      .sram_oe_not(sram_oe_n),
      .sram_we_not(sram_we_n)
      );

   soc
     #(
       .FONT_FILE("../../design/font.mem"),
       .ROM_FILE("instructions.mem")
       ) soc
       (
	.clock_100mhz(clock_100mhz),

	.sram_addr(sram_addr),
	.sram_io(sram_io),

	.sram_cs_n(sram_cs_n),
	.sram_oe_n(sram_oe_n),
	.sram_we_n(sram_we_n),

	.vga_hs(vga_hs),
	.vga_vs(vga_vs),
	.vga_red(vga_red),
	.vga_green(vga_green),
	.vga_blue(vga_blue),

	.button1(!reset),
	.button2(1'b1),

	.led1(led1),
	.led2(led2)
   );

   integer     i;

   initial begin
      reset <= 1;
      clock_100mhz <= 0;

      for (i = 0; i < 5_000_000; i++) begin
	 #5;

	 if (clock_100mhz)
	   reset <= 0;

	 clock_100mhz <= ~clock_100mhz;
      end

      if (led1)
	`MSG(("error: stack machine in soc hasn't finished working in 25ms"));
      else
	`MSG(("error: nothing got displayed in 25ms"));
   end

   always @ (image_writes) begin
      if (image_writes)
	$finish;
   end
endmodule // soc_test