aboutsummaryrefslogtreecommitdiff
path: root/src/Makefile
diff options
context:
space:
mode:
Diffstat (limited to 'src/Makefile')
-rw-r--r--src/Makefile21
1 files changed, 0 insertions, 21 deletions
diff --git a/src/Makefile b/src/Makefile
deleted file mode 100644
index c528906..0000000
--- a/src/Makefile
+++ /dev/null
@@ -1,21 +0,0 @@
-all : example.bin
-
-example.blif : example.v
- git-yosys -p 'synth_ice40 -top top -blif example.blif' example.v
-
-example.json : example.v
- git-yosys -p 'synth_ice40 -top top -json example.json' example.v
-
-example.asc : example.json mapping.pcf
- git-nextpnr-ice40 --hx8k --asc example.asc --pcf mapping.pcf --json example.json --package ct256
-
-example.bin : example.asc
- git-icepack example.asc example.bin
-
-prog : example.bin
- sudo iceprogduino example.bin
-
-clean :
- -rm example.bin example.asc example.blif example.json
-
-.PHONY : clean all