aboutsummaryrefslogtreecommitdiff
path: root/src/Makefile
blob: c52890648181a8e86eb77e9f83034d18efa4178f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
all : example.bin

example.blif : example.v
	git-yosys -p 'synth_ice40 -top top -blif example.blif' example.v

example.json : example.v
	git-yosys -p 'synth_ice40 -top top -json example.json' example.v

example.asc : example.json mapping.pcf
	git-nextpnr-ice40 --hx8k --asc example.asc --pcf mapping.pcf --json example.json --package ct256

example.bin : example.asc
	git-icepack example.asc example.bin

prog : example.bin
	sudo iceprogduino example.bin

clean :
	-rm example.bin example.asc example.blif example.json

.PHONY : clean all