aboutsummaryrefslogtreecommitdiff
path: root/design/intercon.v
diff options
context:
space:
mode:
Diffstat (limited to 'design/intercon.v')
-rw-r--r--design/intercon.v36
1 files changed, 34 insertions, 2 deletions
diff --git a/design/intercon.v b/design/intercon.v
index 926414d..9948735 100644
--- a/design/intercon.v
+++ b/design/intercon.v
@@ -23,7 +23,7 @@ module intercon
input wire S1_STALL_O,
input wire S2_ACK_O,
- output wire [17:0] S2_ADR_I,
+ output wire [16:0] S2_ADR_I,
output wire [15:0] S2_DAT_I,
input wire [15:0] S2_DAT_O,
output wire S2_STB_I,
@@ -31,13 +31,29 @@ module intercon
input wire S2_STALL_O,
input wire S3_ACK_O,
- output wire [17:0] S3_ADR_I,
+ output wire [16:0] S3_ADR_I,
output wire [15:0] S3_DAT_I,
input wire [15:0] S3_DAT_O,
output wire S3_STB_I,
output wire S3_WE_I,
input wire S3_STALL_O,
+ input wire S4_ACK_O,
+ output wire [16:0] S4_ADR_I,
+ output wire [15:0] S4_DAT_I,
+ input wire [15:0] S4_DAT_O,
+ output wire S4_STB_I,
+ output wire S4_WE_I,
+ input wire S4_STALL_O,
+
+ input wire S5_ACK_O,
+ output wire [16:0] S5_ADR_I,
+ output wire [15:0] S5_DAT_I,
+ input wire [15:0] S5_DAT_O,
+ output wire S5_STB_I,
+ output wire S5_WE_I,
+ input wire S5_STALL_O,
+
output wire M0_ACK_I,
input wire [19:0] M0_ADR_O,
output wire [15:0] M0_DAT_I,
@@ -111,6 +127,22 @@ module intercon
.S3_WE_I(S3_WE_I),
.S3_STALL_O(S3_STALL_O),
+ .S4_ACK_O(S4_ACK_O),
+ .S4_ADR_I(S4_ADR_I),
+ .S4_DAT_I(S4_DAT_I),
+ .S4_DAT_O(S4_DAT_O),
+ .S4_STB_I(S4_STB_I),
+ .S4_WE_I(S4_WE_I),
+ .S4_STALL_O(S4_STALL_O),
+
+ .S5_ACK_O(S5_ACK_O),
+ .S5_ADR_I(S5_ADR_I),
+ .S5_DAT_I(S5_DAT_I),
+ .S5_DAT_O(S5_DAT_O),
+ .S5_STB_I(S5_STB_I),
+ .S5_WE_I(S5_WE_I),
+ .S5_STALL_O(S5_STALL_O),
+
.S_COMBINED_ACK_O(S_COMBINED_ACK_O),
.S_COMBINED_ADR_I(S_COMBINED_ADR_I),
.S_COMBINED_DAT_I(S_COMBINED_DAT_I),