aboutsummaryrefslogtreecommitdiff
path: root/tests
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-12-24 09:22:34 +0100
committerWojciech Kosior <kwojtus@protonmail.com>2020-12-24 09:22:34 +0100
commitf122fa70e30a7d7744b38fa22bd1d5aa949e8277 (patch)
tree8d0ed8590eb5bb6cbb85ded102a4e4e440f28913 /tests
parent6abc6fd5a869976b4e31e12908e835827399deec (diff)
downloadAGH-engineering-thesis-f122fa70e30a7d7744b38fa22bd1d5aa949e8277.tar.gz
AGH-engineering-thesis-f122fa70e30a7d7744b38fa22bd1d5aa949e8277.zip
prepare makefile infrastructure for writing examples
Diffstat (limited to 'tests')
-rw-r--r--tests/soc_measure_time/test.v6
-rw-r--r--tests/soc_print_number/test.v6
-rw-r--r--tests/soc_simple_display/test.v6
3 files changed, 18 insertions, 0 deletions
diff --git a/tests/soc_measure_time/test.v b/tests/soc_measure_time/test.v
index b4f1082..08b4fd5 100644
--- a/tests/soc_measure_time/test.v
+++ b/tests/soc_measure_time/test.v
@@ -8,6 +8,11 @@
; /* Cause syntax error */
`endif
+`ifndef ROM_WORDS_COUNT
+ `error_ROM_WORDS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
module soc_test();
wire [9:0] image_writes;
@@ -20,6 +25,7 @@ module soc_test();
soc_with_peripherals
#(
.FONT_FILE("../../design/font.mem"),
+ .EMBEDDED_ROM_WORDS_COUNT(`ROM_WORDS_COUNT),
.EMBEDDED_ROM_FILE("instructions.mem")
) soc
(
diff --git a/tests/soc_print_number/test.v b/tests/soc_print_number/test.v
index 75c2b36..170825e 100644
--- a/tests/soc_print_number/test.v
+++ b/tests/soc_print_number/test.v
@@ -8,6 +8,11 @@
; /* Cause syntax error */
`endif
+`ifndef ROM_WORDS_COUNT
+ `error_ROM_WORDS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
module soc_test();
wire [9:0] image_writes;
@@ -20,6 +25,7 @@ module soc_test();
soc_with_peripherals
#(
.FONT_FILE("../../design/font.mem"),
+ .EMBEDDED_ROM_WORDS_COUNT(`ROM_WORDS_COUNT),
.EMBEDDED_ROM_FILE("instructions.mem")
) soc
(
diff --git a/tests/soc_simple_display/test.v b/tests/soc_simple_display/test.v
index 75c2b36..170825e 100644
--- a/tests/soc_simple_display/test.v
+++ b/tests/soc_simple_display/test.v
@@ -8,6 +8,11 @@
; /* Cause syntax error */
`endif
+`ifndef ROM_WORDS_COUNT
+ `error_ROM_WORDS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
module soc_test();
wire [9:0] image_writes;
@@ -20,6 +25,7 @@ module soc_test();
soc_with_peripherals
#(
.FONT_FILE("../../design/font.mem"),
+ .EMBEDDED_ROM_WORDS_COUNT(`ROM_WORDS_COUNT),
.EMBEDDED_ROM_FILE("instructions.mem")
) soc
(