aboutsummaryrefslogtreecommitdiff
path: root/tests/soc_print_number/test.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/soc_print_number/test.v')
-rw-r--r--tests/soc_print_number/test.v6
1 files changed, 6 insertions, 0 deletions
diff --git a/tests/soc_print_number/test.v b/tests/soc_print_number/test.v
index 75c2b36..170825e 100644
--- a/tests/soc_print_number/test.v
+++ b/tests/soc_print_number/test.v
@@ -8,6 +8,11 @@
; /* Cause syntax error */
`endif
+`ifndef ROM_WORDS_COUNT
+ `error_ROM_WORDS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
module soc_test();
wire [9:0] image_writes;
@@ -20,6 +25,7 @@ module soc_test();
soc_with_peripherals
#(
.FONT_FILE("../../design/font.mem"),
+ .EMBEDDED_ROM_WORDS_COUNT(`ROM_WORDS_COUNT),
.EMBEDDED_ROM_FILE("instructions.mem")
) soc
(