aboutsummaryrefslogtreecommitdiff
path: root/tests/sram_slave/operations.memv
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-02 13:08:11 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-02 13:08:11 +0200
commit7d270361edb1e7d0b14f2f79e1c8f7ba48f26ebc (patch)
tree21fe1ae2f4b401d8d869ed43a663ac908715043f /tests/sram_slave/operations.memv
parent1bb4a2b10154807b44f35b5613ce2c2f6186ec50 (diff)
downloadAGH-engineering-thesis-7d270361edb1e7d0b14f2f79e1c8f7ba48f26ebc.tar.gz
AGH-engineering-thesis-7d270361edb1e7d0b14f2f79e1c8f7ba48f26ebc.zip
add bench for wishbone sram wrapper
Diffstat (limited to 'tests/sram_slave/operations.memv')
l---------tests/sram_slave/operations.memv1
1 files changed, 1 insertions, 0 deletions
diff --git a/tests/sram_slave/operations.memv b/tests/sram_slave/operations.memv
new file mode 120000
index 0000000..68754d0
--- /dev/null
+++ b/tests/sram_slave/operations.memv
@@ -0,0 +1 @@
+../self/operations.memv \ No newline at end of file