aboutsummaryrefslogtreecommitdiff
path: root/tests/embedded_bram_slave
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-08 17:27:48 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-08 17:27:48 +0200
commitcbc2686373a5fe81b6b28f845edc323d4cae56e5 (patch)
treef53d38cbb32f441450f8d33ff315566f1e98ce5f /tests/embedded_bram_slave
parent0a49a2c1496888f0014cf09feb425d9cc47a75ba (diff)
downloadAGH-engineering-thesis-cbc2686373a5fe81b6b28f845edc323d4cae56e5.tar.gz
AGH-engineering-thesis-cbc2686373a5fe81b6b28f845edc323d4cae56e5.zip
modernize the build (test) system
Diffstat (limited to 'tests/embedded_bram_slave')
-rw-r--r--tests/embedded_bram_slave/Makefile9
1 files changed, 9 insertions, 0 deletions
diff --git a/tests/embedded_bram_slave/Makefile b/tests/embedded_bram_slave/Makefile
new file mode 100644
index 0000000..6d5b79e
--- /dev/null
+++ b/tests/embedded_bram_slave/Makefile
@@ -0,0 +1,9 @@
+DEPENDS = operations.mem rom.mem master.v embedded_bram_slave.v messages.vh
+
+IVFLAGS = \
+ -DMASTER_OPERATIONS_COUNT=$(call FILE_LINES,operations.mem) \
+ -DROM_WORDS_COUNT=$(call FILE_LINES,rom.mem)
+
+TOP = embedded_bram_test
+
+include ../../Makefile.test