aboutsummaryrefslogtreecommitdiff
path: root/tests/sram_slave/test.v
diff options
context:
space:
mode:
Diffstat (limited to 'tests/sram_slave/test.v')
-rw-r--r--tests/sram_slave/test.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/tests/sram_slave/test.v b/tests/sram_slave/test.v
index 5e13251..6b075e9 100644
--- a/tests/sram_slave/test.v
+++ b/tests/sram_slave/test.v
@@ -77,7 +77,7 @@ module sram_slave_test();
.sram_we_not(sram_we_not)
);
- sram_wb_slave slave
+ sram_slave slave
(
.sram_addr(sram_addr),
.sram_io(sram_io),
@@ -133,4 +133,4 @@ module sram_slave_test();
$display("error: master hasn't finished its operations in 300 ticks");
$finish;
end
-endmodule // sram_wb_slave_test
+endmodule // sram_slave_test