aboutsummaryrefslogtreecommitdiff
path: root/Makefile.test
diff options
context:
space:
mode:
Diffstat (limited to 'Makefile.test')
-rw-r--r--Makefile.test31
1 files changed, 9 insertions, 22 deletions
diff --git a/Makefile.test b/Makefile.test
index 8572811..d6ac8ab 100644
--- a/Makefile.test
+++ b/Makefile.test
@@ -4,15 +4,15 @@ ifndef PROJ_DIR
PROJ_DIR := ../../
endif
-IVFLAGS += -I$(PROJ_DIR)/include/ -DSIMULATION
+def : test
+
+include $(PROJ_DIR)/Makefile.util
+
+IVFLAGS += -DSIMULATION
# The macroassembly header file is somewhat different thing, but I don't know
# what place would be more suitable for it than include/ dir
MACROASM_FLAGS += -I$(PROJ_DIR)/include/ -E
-include $(PROJ_DIR)/Makefile.config
-include $(PROJ_DIR)/Makefile.util
-include $(PROJ_DIR)/tools/Makefile.tools
-
ifdef DEBUG
IVFLAGS += -DDEBUG
DBG&SAVE = tee "$(1)"
@@ -20,9 +20,6 @@ else
DBG&SAVE = cat > "$(1)" # putting cat through pipe - what an animal cruelty!
endif
-vpath %.v $(PROJ_DIR):$(PROJ_DIR)/design/:$(PROJ_DIR)/models/:.
-vpath %.vh $(PROJ_DIR)/include:.
-
ifdef QUICK_TEST
ifdef VGA_TEST
SKIPPING = 1
@@ -43,11 +40,6 @@ ifdef VGA_TEST
endif
endif
-TOOLS_TARGETS = $(addprefix $(PROJ_DIR)/tools/,$(TOOLS))
-
-$(TOOLS_TARGETS) : $(PROJ_DIR)/% :
- $(MAKE) -C $(PROJ_DIR) $*
-
%.mem : %.memv
$(IV) $(MACROASM_FLAGS) $^ -o $@
@@ -59,21 +51,16 @@ ifdef VGA_TEST
report.log VGAdump.mem : $(PROJ_DIR)/design/font.mem
endif
report.log VGAdump.mem : test.vvp
- vvp $< | $(call DBG&SAVE,report.log)
+ $(VVP) $< | $(call DBG&SAVE,report.log)
VGAdump.ppm : $(PROJ_DIR)/tools/VGAdump2ppm VGAdump.mem
grep -v // < VGAdump.mem | $< > $@
-GENERATED_MEM_FILES := $(shell find . -name "*.s.tcl")
-GENERATED_MEM_FILES := $(basename $(basename $(GENERATED_MEM_FILES)))
-GENERATED_MEM_FILES += $(basename $(shell find . -name "*.memv"))
-GENERATED_MEM_FILES += $(basename $(shell find . -name "*.wat"))
-GENERATED_MEM_FILES := $(addsuffix .mem,$(GENERATED_MEM_FILES))
-
clean :
- rm $(GENERATED_MEM_FILES) *.vvp *.wasm report.log VGAdump.mem \
+ find . -name "*.vvp" -delete
+ rm $(call FIND_GENERATED_FILES,.) report.log VGAdump.mem \
VGAdump.ppm 2>/dev/null || true
-.PHONY : test clean $(TOOLS_TARGETS)
+.PHONY : test def clean $(TOOLS_TARGETS)
.SECONDARY : $(TOOLS_TARGETS)