aboutsummaryrefslogtreecommitdiff
path: root/tests
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-01 10:54:59 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-01 11:04:22 +0200
commitee1f6c47e1eff920068f4bceaf604f9535a2e8a9 (patch)
tree580eb001a72601d254bb29cc348a529490f84808 /tests
parentcd02ddff8886aa1db29f80d3cc5cf99a349d8258 (diff)
downloadAGH-engineering-thesis-ee1f6c47e1eff920068f4bceaf604f9535a2e8a9.tar.gz
AGH-engineering-thesis-ee1f6c47e1eff920068f4bceaf604f9535a2e8a9.zip
start anew
Diffstat (limited to 'tests')
-rw-r--r--tests/div/test.v108
-rw-r--r--tests/intercon/operations.memv63
-rw-r--r--tests/intercon/test.v216
-rw-r--r--tests/self/operations.memv25
-rw-r--r--tests/self/test.v114
-rwxr-xr-xtests/stack_machine_add/instructions.s.tcl20
l---------tests/stack_machine_add/test.v1
-rw-r--r--tests/stack_machine_add/words_to_verify.mem9
-rwxr-xr-xtests/stack_machine_div/instructions.s.tcl23
l---------tests/stack_machine_div/test.v1
-rw-r--r--tests/stack_machine_div/words_to_verify.mem12
-rwxr-xr-xtests/stack_machine_load_store/instructions.s.tcl109
l---------tests/stack_machine_load_store/test.v1
-rw-r--r--tests/stack_machine_load_store/words_to_verify.mem12
-rwxr-xr-xtests/stack_machine_mul/instructions.s.tcl42
l---------tests/stack_machine_mul/test.v1
-rw-r--r--tests/stack_machine_mul/words_to_verify.mem19
-rwxr-xr-xtests/stack_machine_multiinstructions_load_store/instructions.s.tcl32
l---------tests/stack_machine_multiinstructions_load_store/test.v1
l---------tests/stack_machine_multiinstructions_load_store/words_to_verify.mem1
-rwxr-xr-xtests/stack_machine_store/instructions.s.tcl29
-rw-r--r--tests/stack_machine_store/test.v148
-rw-r--r--tests/stack_machine_store/words_to_verify.mem3
-rwxr-xr-xtests/stack_machine_sub/instructions.s.tcl21
l---------tests/stack_machine_sub/test.v1
-rw-r--r--tests/stack_machine_sub/words_to_verify.mem9
-rw-r--r--tests/vga/VGAdump_expected.ppm38403
-rw-r--r--tests/vga/test.v252
28 files changed, 39676 insertions, 0 deletions
diff --git a/tests/div/test.v b/tests/div/test.v
new file mode 100644
index 0000000..f360ebd
--- /dev/null
+++ b/tests/div/test.v
@@ -0,0 +1,108 @@
+`default_nettype none
+
+`include "messages.vh"
+
+`ifndef SIMULATION
+ `error_SIMULATION_not_defined
+; /* Cause syntax error */
+`endif
+
+module div_test();
+ reg clock;
+ reg start;
+ reg [15:0] dividend;
+ reg [15:0] divisor;
+
+ wire [15:0] quotient;
+ wire [15:0] remainder;
+ wire done;
+
+ div
+ #(
+ .WIDTH(16)
+ ) div
+ (
+ .clock(clock),
+ .start(start),
+ .dividend(dividend),
+ .divisor(divisor),
+ .quotient(quotient),
+ .remainder(remainder),
+ .done(done)
+ );
+
+ integer seed;
+ integer progress;
+ reg [15:0] new_divisor;
+ reg [16:0] max_divisor;
+
+ initial begin
+ seed <= 0;
+ progress <= 0;
+ clock <= 0;
+ end
+
+ // initial
+ // $monitor("[%t] clock is %b", $time, clock);
+
+ always #1
+ clock <= ~clock;
+
+ always @ (posedge clock) begin
+ if (progress == 0) begin
+ start <= 1;
+ dividend <= 15;
+ divisor <= 3;
+ progress <= progress + 1;
+ end else begin
+ if (done) begin
+ if (dividend / divisor === quotient && dividend % divisor === remainder) begin
+ `DBG(("%0d/%0d computed as %0d r %0d", dividend, divisor, quotient, remainder));
+ end else begin
+ `MSG(("error: %0d/%0d computed as %0d r %0d",
+ dividend, divisor, quotient, remainder));
+ end
+ start <= 1;
+ case (progress)
+ 1 : begin
+ dividend <= 3;
+ divisor <= 4;
+ end
+ 2 : begin
+ dividend <= 65535;
+ divisor <= 65534;
+ end
+ 3 : begin
+ dividend <= 1024;
+ divisor <= 4;
+ end
+ 4 : begin
+ dividend <= 319;
+ divisor <= 17;
+ end
+ default : begin
+ if (progress == 500)
+ $finish;
+ else if (progress > 400)
+ max_divisor = 2**16;
+ else if (progress > 200)
+ max_divisor = 2**10;
+ else
+ max_divisor = 2**5;
+
+ for (new_divisor = $urandom(seed) % max_divisor;
+ new_divisor == 0;
+ new_divisor = $urandom(seed) % max_divisor)
+ ;
+
+ dividend <= $urandom(seed);
+ divisor <= new_divisor;
+ end
+ endcase // case (progress)
+ progress <= progress + 1;
+ end else begin // if (done)
+ start <= 0;
+ end // else: !if(done)
+ end // else: !if(progress == 0)
+ end // always @ (posedge clock)
+endmodule // div_test
diff --git a/tests/intercon/operations.memv b/tests/intercon/operations.memv
new file mode 100644
index 0000000..8785d5c
--- /dev/null
+++ b/tests/intercon/operations.memv
@@ -0,0 +1,63 @@
+`include "macroasm.vh" // look into macroasm.vh for more info
+
+// The beginning copied from self test, only 1st slave is being accessed.
+`WRITE(00000, abcd)
+`WAIT
+`READ (00000, abcd)
+`WRITE(00001, 1234)
+`READ (00000, abcd)
+`DESELECT
+`DESELECT
+`READ (00001, 1234)
+`WRITE(01010, a2a2)
+`WRITE(00001, 4321)
+`READ (01010, a2a2)
+`WAIT
+`WAIT
+`WAIT
+`WAIT
+`WAIT
+`DESELECT
+`DESELECT
+`DESELECT
+`WAIT
+`DESELECT
+`WAIT
+`READ(00001, 4321)
+// Here, instructions targetting other slaves start appearing.
+// Go through all the slaves
+`WRITE(40040, efef)
+`WRITE(80002, 1f1f)
+`WRITE(c00c0, 1d1d)
+`READ (80002, 1f1f)
+`READ (c00c0, 1d1d)
+`READ (40040, efef)
+`WAIT
+`WAIT
+// Make a sequence of commands to slave 3 (addresses c0000 - fffff)
+`READ (c00c0, 1d1d)
+`WRITE(c1111, 0022)
+`READ (c00c0, 1d1d)
+`WRITE(c0001, 0001)
+`WRITE(c0002, 0002)
+`READ (c0001, 0001)
+`READ (c0002, 0002)
+`READ (c0001, 0001)
+`WRITE(c0003, 0003)
+`WRITE(c0002, 2222)
+`READ (c0002, 2222)
+`READ (c0003, 0003)
+`WRITE(fffff, 5555)
+`READ (c1111, 0022)
+// Put a single command to another slave in-between commands to slave 3
+`WRITE(4ffff, b6b6)
+`READ (fffff, 5555)
+`WRITE(eeeee, aaaa)
+`READ (eeeee, aaaa)
+// Let slave 3 take a breath now
+`READ (4ffff, b6b6)
+`DESELECT
+// We made writes to c0002 and c0001, make sure corresponding addreses
+// in other slaves were not overwritten by mistake
+`READ (80002, 1f1f)
+`READ (00001, 4321)
diff --git a/tests/intercon/test.v b/tests/intercon/test.v
new file mode 100644
index 0000000..1945f44
--- /dev/null
+++ b/tests/intercon/test.v
@@ -0,0 +1,216 @@
+`default_nettype none
+
+`include "messages.vh"
+
+`ifndef MASTER_OPERATIONS_COUNT
+ `error_MASTER_OPERATIONS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
+`ifndef SIMULATION
+ `error_SIMULATION_not_defined
+; /* Cause syntax error */
+`endif
+
+module intercon_test();
+ wire M_ACK_I;
+ wire M_CLK_I;
+ wire [19:0] M_ADR_O;
+ wire [15:0] M_DAT_I;
+ wire [15:0] M_DAT_O;
+ wire M_RST_I;
+ wire M_STB_O;
+ wire M_CYC_O;
+ wire M_WE_O;
+ wire M_STALL_I;
+
+ wire S0_ACK_O, S1_ACK_O, S2_ACK_O, S3_ACK_O;
+ wire S0_CLK_I, S1_CLK_I, S2_CLK_I, S3_CLK_I;
+ wire [17:0] S0_ADR_I, S1_ADR_I, S2_ADR_I, S3_ADR_I;
+ wire [15:0] S0_DAT_I, S1_DAT_I, S2_DAT_I, S3_DAT_I;
+ wire [15:0] S0_DAT_O, S1_DAT_O, S2_DAT_O, S3_DAT_O;
+ wire S0_RST_I, S1_RST_I, S2_RST_I, S3_RST_I;
+ wire S0_STB_I, S1_STB_I, S2_STB_I, S3_STB_I;
+ wire S0_WE_I, S1_WE_I, S2_WE_I, S3_WE_I;
+ wire S0_STALL_O, S1_STALL_O, S2_STALL_O, S3_STALL_O;
+
+ reg CLK;
+ reg RST;
+
+ /* Non-wishbone */
+ wire M_finished;
+
+ master_model
+ #(.MASTER_NR(0),
+ .OPERATIONS_FILE("operations.mem"),
+ .OPERATIONS_COUNT(`MASTER_OPERATIONS_COUNT)
+ ) master
+ (
+ .ACK_I(M_ACK_I),
+ .CLK_I(M_CLK_I),
+ .ADR_O(M_ADR_O),
+ .DAT_I(M_DAT_I),
+ .DAT_O(M_DAT_O),
+ .RST_I(M_RST_I),
+ .STB_O(M_STB_O),
+ .CYC_O(M_CYC_O),
+ .WE_O(M_WE_O),
+ .STALL_I(M_STALL_I),
+
+ .finished(M_finished)
+ );
+
+ memory_slave_model
+ #(
+ .SLAVE_NR(0)
+ ) slave0
+ (
+ .ACK_O(S0_ACK_O),
+ .CLK_I(S0_CLK_I),
+ .ADR_I(S0_ADR_I),
+ .DAT_I(S0_DAT_I),
+ .DAT_O(S0_DAT_O),
+ .RST_I(S0_RST_I),
+ .STB_I(S0_STB_I),
+ .WE_I(S0_WE_I),
+ .STALL_O(S0_STALL_O)
+ );
+
+ memory_slave_model
+ #(
+ .SLAVE_NR(1)
+ ) slave1
+ (
+ .ACK_O(S1_ACK_O),
+ .CLK_I(S1_CLK_I),
+ .ADR_I(S1_ADR_I),
+ .DAT_I(S1_DAT_I),
+ .DAT_O(S1_DAT_O),
+ .RST_I(S1_RST_I),
+ .STB_I(S1_STB_I),
+ .WE_I(S1_WE_I),
+ .STALL_O(S1_STALL_O)
+ );
+
+ memory_slave_model
+ #(
+ .SLAVE_NR(2)
+ ) slave2
+ (
+ .ACK_O(S2_ACK_O),
+ .CLK_I(S2_CLK_I),
+ .ADR_I(S2_ADR_I),
+ .DAT_I(S2_DAT_I),
+ .DAT_O(S2_DAT_O),
+ .RST_I(S2_RST_I),
+ .STB_I(S2_STB_I),
+ .WE_I(S2_WE_I),
+ .STALL_O(S2_STALL_O)
+ );
+
+ memory_slave_model
+ #(
+ .SLAVE_NR(3)
+ ) slave3
+ (
+ .ACK_O(S3_ACK_O),
+ .CLK_I(S3_CLK_I),
+ .ADR_I(S3_ADR_I),
+ .DAT_I(S3_DAT_I),
+ .DAT_O(S3_DAT_O),
+ .RST_I(S3_RST_I),
+ .STB_I(S3_STB_I),
+ .WE_I(S3_WE_I),
+ .STALL_O(S3_STALL_O)
+ );
+
+ intercon intercon
+ (
+ .CLK(CLK),
+ .RST(RST),
+
+ .S0_ACK_O(S0_ACK_O),
+ .S0_CLK_I(S0_CLK_I),
+ .S0_ADR_I(S0_ADR_I),
+ .S0_DAT_I(S0_DAT_I),
+ .S0_DAT_O(S0_DAT_O),
+ .S0_RST_I(S0_RST_I),
+ .S0_STB_I(S0_STB_I),
+ .S0_WE_I(S0_WE_I),
+ .S0_STALL_O(S0_STALL_O),
+
+ .S1_ACK_O(S1_ACK_O),
+ .S1_CLK_I(S1_CLK_I),
+ .S1_ADR_I(S1_ADR_I),
+ .S1_DAT_I(S1_DAT_I),
+ .S1_DAT_O(S1_DAT_O),
+ .S1_RST_I(S1_RST_I),
+ .S1_STB_I(S1_STB_I),
+ .S1_WE_I(S1_WE_I),
+ .S1_STALL_O(S1_STALL_O),
+
+ .S2_ACK_O(S2_ACK_O),
+ .S2_CLK_I(S2_CLK_I),
+ .S2_ADR_I(S2_ADR_I),
+ .S2_DAT_I(S2_DAT_I),
+ .S2_DAT_O(S2_DAT_O),
+ .S2_RST_I(S2_RST_I),
+ .S2_STB_I(S2_STB_I),
+ .S2_WE_I(S2_WE_I),
+ .S2_STALL_O(S2_STALL_O),
+
+ .S3_ACK_O(S3_ACK_O),
+ .S3_CLK_I(S3_CLK_I),
+ .S3_ADR_I(S3_ADR_I),
+ .S3_DAT_I(S3_DAT_I),
+ .S3_DAT_O(S3_DAT_O),
+ .S3_RST_I(S3_RST_I),
+ .S3_STB_I(S3_STB_I),
+ .S3_WE_I(S3_WE_I),
+ .S3_STALL_O(S3_STALL_O),
+
+ .M_ACK_I(M_ACK_I),
+ .M_CLK_I(M_CLK_I),
+ .M_ADR_O(M_ADR_O),
+ .M_DAT_O(M_DAT_O),
+ .M_DAT_I(M_DAT_I),
+ .M_RST_I(M_RST_I),
+ .M_STB_O(M_STB_O),
+ .M_CYC_O(M_CYC_O),
+ .M_WE_O(M_WE_O),
+ .M_STALL_I(M_STALL_I)
+ );
+
+ integer i;
+
+ initial begin
+ CLK <= 0;
+ RST <= 1;
+
+ for (i = 0; i < 500; i++) begin
+ #1;
+
+ CLK <= ~CLK;
+
+ if (CLK)
+ RST <= 0;
+
+ if (M_finished)
+ $finish;
+
+ /*
+ * I should delete this debugging code, but from time to time
+ * it proves so handy, that I just can't do it :/
+ */
+ // if (!CLK)
+ // `DBG(({"M_CYC_O: %d M_STB_O: %d M_ACK_I: %d M_STALL_I: %d ",
+ // "sla: %d sa: %d ca: %d RST: %b"},
+ // M_CYC_O, M_STB_O, M_ACK_I, M_STALL_I,
+ // intercon.slave_last_accessed, intercon.slave_accessed,
+ // intercon.commands_awaiting, intercon.RST));
+ end
+
+ $display("error: master hasn't finished its opertaions in 300 ticks");
+ $finish;
+ end
+endmodule // intercon_test
diff --git a/tests/self/operations.memv b/tests/self/operations.memv
new file mode 100644
index 0000000..5769d94
--- /dev/null
+++ b/tests/self/operations.memv
@@ -0,0 +1,25 @@
+`include "macroasm.vh" // look into macroasm.vh for more info
+
+`WRITE(00000, abcd)
+`WAIT
+`READ (00000, abcd)
+`WRITE(00001, 1234)
+`READ (00000, abcd)
+`DESELECT
+`DESELECT
+`READ (00001, 1234)
+`WRITE(01010, a2a2)
+`WRITE(00001, 4321)
+`READ (01010, a2a2)
+`WAIT
+`WAIT
+`WAIT
+`WAIT
+`WAIT
+`DESELECT
+`DESELECT
+`DESELECT
+`WAIT
+`DESELECT
+`WAIT
+`READ(00001, 4321)
diff --git a/tests/self/test.v b/tests/self/test.v
new file mode 100644
index 0000000..b92a63e
--- /dev/null
+++ b/tests/self/test.v
@@ -0,0 +1,114 @@
+`default_nettype none
+
+`include "messages.vh"
+
+`ifndef MASTER_OPERATIONS_COUNT
+ `error_MASTER_OPERATIONS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
+`ifndef SIMULATION
+ `error_SIMULATION_not_defined
+; /* Cause syntax error */
+`endif
+
+module self_test();
+ wire M_ACK_I;
+ wire M_CLK_I;
+ wire [19:0] M_ADR_O;
+ wire [15:0] M_DAT_I;
+ wire [15:0] M_DAT_O;
+ wire M_RST_I;
+ wire M_STB_O;
+ wire M_CYC_O;
+ wire M_WE_O;
+ wire M_STALL_I;
+
+ wire S_ACK_O;
+ wire S_CLK_I;
+ wire [17:0] S_ADR_I;
+ wire [15:0] S_DAT_I;
+ wire [15:0] S_DAT_O;
+ wire S_RST_I;
+ wire S_STB_I;
+ wire S_WE_I;
+ wire S_STALL_O;
+
+ /* Non-wishbone */
+ wire M_finished;
+
+ master_model
+ #(
+ .MASTER_NR(0),
+ .OPERATIONS_FILE("operations.mem"),
+ .OPERATIONS_COUNT(`MASTER_OPERATIONS_COUNT)
+ ) master
+ (
+ .ACK_I(M_ACK_I),
+ .CLK_I(M_CLK_I),
+ .ADR_O(M_ADR_O),
+ .DAT_I(M_DAT_I),
+ .DAT_O(M_DAT_O),
+ .RST_I(M_RST_I),
+ .STB_O(M_STB_O),
+ .CYC_O(M_CYC_O),
+ .WE_O(M_WE_O),
+ .STALL_I(M_STALL_I),
+
+ .finished(M_finished)
+ );
+
+ memory_slave_model
+ #(
+ .SLAVE_NR(0)
+ ) slave
+ (
+ .ACK_O(S_ACK_O),
+ .CLK_I(S_CLK_I),
+ .ADR_I(S_ADR_I),
+ .DAT_I(S_DAT_I),
+ .DAT_O(S_DAT_O),
+ .RST_I(S_RST_I),
+ .STB_I(S_STB_I),
+ .WE_I(S_WE_I),
+ .STALL_O(S_STALL_O)
+ );
+
+ reg CLK;
+ reg RST;
+
+ assign M_ACK_I = S_ACK_O;
+ assign M_CLK_I = CLK;
+ assign M_DAT_I = S_DAT_O;
+ assign M_RST_I = RST;
+ assign M_STALL_I = S_STALL_O;
+
+ assign S_CLK_I = CLK;
+ assign S_ADR_I = M_ADR_O[17:0]; /* Ignore 2 topmost bits */
+ assign S_DAT_I = M_DAT_O;
+ assign S_RST_I = RST;
+ assign S_STB_I = M_STB_O && M_CYC_O;
+ assign S_WE_I = M_WE_O;
+
+ integer i;
+
+ initial begin
+ CLK <= 0;
+ RST <= 1;
+
+ for (i = 0; i < 600; i++) begin
+ #1;
+
+ CLK <= ~CLK;
+
+ if (CLK)
+ RST <= 0;
+
+ if (M_finished)
+ $finish;
+ end
+
+ $display("error: master hasn't finished its operations in 300 ticks");
+ $finish;
+ end
+endmodule // self_test
diff --git a/tests/stack_machine_add/instructions.s.tcl b/tests/stack_machine_add/instructions.s.tcl
new file mode 100755
index 0000000..c3cfe4d
--- /dev/null
+++ b/tests/stack_machine_add/instructions.s.tcl
@@ -0,0 +1,20 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### store 2 values to memory, load them back, add them and store the result
+
+set_sp h7FFFC
+const 12345678
+store@ h1EEE0
+const 40302010
+store@ h1EEE4
+
+load@ h1EEE0
+stack down
+load@ h1EEE4
+# adding 40302010 to 12345678 should yield 52647688
+add
+stack up
+store@ h1EEE8
+halt
diff --git a/tests/stack_machine_add/test.v b/tests/stack_machine_add/test.v
new file mode 120000
index 0000000..f5b6a59
--- /dev/null
+++ b/tests/stack_machine_add/test.v
@@ -0,0 +1 @@
+../stack_machine_store/test.v \ No newline at end of file
diff --git a/tests/stack_machine_add/words_to_verify.mem b/tests/stack_machine_add/words_to_verify.mem
new file mode 100644
index 0000000..db077bc
--- /dev/null
+++ b/tests/stack_machine_add/words_to_verify.mem
@@ -0,0 +1,9 @@
+// address value
+ 1EEE0 614E // 12345678 in hex is BC614E
+ 1EEE2 BC
+
+ 1EEE4 F5BA // 40302010 in hex is 266F5BA
+ 1EEE6 266
+
+ 1EEE8 5708 // 52647688 in hex is 3235708
+ 1EEEA 323
diff --git a/tests/stack_machine_div/instructions.s.tcl b/tests/stack_machine_div/instructions.s.tcl
new file mode 100755
index 0000000..e2d55dc
--- /dev/null
+++ b/tests/stack_machine_div/instructions.s.tcl
@@ -0,0 +1,23 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### store 2 values to memory, load them back, divide one by another and store
+### the result (both quotient and remainder); this is analogous to addition
+### and substraction tests
+
+set_sp h7FFFC
+const 777681520
+store@ h1EEE0
+const 3721
+store@ h1EEE4
+
+load@ h1EEE0
+stack down
+load@ h1EEE4
+# dividing 777681520 by 3721 should yield 208997 r 3683
+div
+store@ h1EEE8
+stack up
+store@ h1EEEC
+halt
diff --git a/tests/stack_machine_div/test.v b/tests/stack_machine_div/test.v
new file mode 120000
index 0000000..f5b6a59
--- /dev/null
+++ b/tests/stack_machine_div/test.v
@@ -0,0 +1 @@
+../stack_machine_store/test.v \ No newline at end of file
diff --git a/tests/stack_machine_div/words_to_verify.mem b/tests/stack_machine_div/words_to_verify.mem
new file mode 100644
index 0000000..8543226
--- /dev/null
+++ b/tests/stack_machine_div/words_to_verify.mem
@@ -0,0 +1,12 @@
+// address value
+ 1EEE0 7A70 // 777681520 in hex is 2E5A7A70
+ 1EEE2 2E5A
+
+ 1EEE4 E89 // 3721 in hex is E89
+ 1EEE6 0
+
+ 1EEE8 E63 // 3683 in hex is E63
+ 1EEEA 0
+
+ 1EEEC 3065 // 208997 in hex is 33065
+ 1EEEE 3
diff --git a/tests/stack_machine_load_store/instructions.s.tcl b/tests/stack_machine_load_store/instructions.s.tcl
new file mode 100755
index 0000000..13cabcb
--- /dev/null
+++ b/tests/stack_machine_load_store/instructions.s.tcl
@@ -0,0 +1,109 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### another simple test - set stack to h7FFFC, store 4 numbers on stack (only 2
+### will really get written to memory, other 2 will remain in r0 and r1),
+### then pop all the numbers and write them to h57574
+
+
+## set sp to h7FFFC (actually, lowest bit is assumed 0 and not stored there)
+# 7777 FFFF F
+# bits 19:11 of h7FFFC are 0111 1111 1
+_immediate im=b011111111
+# FFf FFFF CCCC
+# bits 10:0 of h7FFFC are 111 1111 1100
+_immediate im<<=b11111111100
+
+_extended_instruction set_sp
+
+## get value h01010202 into r1
+# 0000 1111 00
+# bits 31:22 of h01010202 are 0000 0001 00
+_immediate im<<=b0000000100
+# 00 1111 0000 2
+# bits 21:11 of h01010202 are 00 0001 0000 0
+_immediate im<<=b00000100000
+# 222 0000 2222
+# bits 10:0 of h01010202 are 010 0000 0010
+_exchange_im im<<=b01000000010
+
+## get value h03030404 into r1
+stack down
+# 0000 3333 00
+# bits 31:22 of h03030404 are 0000 0011 00
+_immediate im<<=b0000001100
+# 00 3333 0000 4
+# bits 21:11 of h03030404 are 00 0011 0000 0
+_immediate im<<=b00001100000
+# 444 0000 4444
+# bits 10:0 of h03030404 are 100 0000 0100
+_exchange_im im<<=b10000000100
+
+## get value h05050606 into r1
+stack down
+# 0000 5555 00
+# bits 31:22 of h05050606 are 0000 0101 00
+_immediate im<<=b0000010100
+# 00 5555 0000 6
+# bits 21:11 of h05050606 are 00 0101 0000 0
+_immediate im<<=b00010100000
+# 666 0000 6666
+# bits 10:0 of h05050606 are 110 0000 0110
+_exchange_im im<<=b11000000110
+
+## get value h07070808 into r1
+stack down
+# 0000 7777 00
+# bits 31:22 of h07070808 are 0000 0111 00
+_immediate im<<=b0000011100
+# 00 7777 0000 8
+# bits 21:11 of h07070808 are 00 0111 0000 1
+_immediate im<<=b00011100001
+# 888 0000 8888
+# bits 10:0 of h07070808 are 000 0000 1000
+_exchange_im im<<=b00000001000
+
+## get address h57574 into im and write r1 to that address
+# 5555 7777 5
+# bits 19:11 of h57574 are 0101 0111 0
+_immediate im=b010101110
+# 555 7777 4444
+# bits 10:0 of h57574 are 101 0111 0100
+store im<<=b10101110100
+
+# get r0 to r1
+stack up
+
+## get address h57578 into im and write r1 to that address
+# 5555 7777 5
+# bits 19:11 of h57578 are 0101 0111 0
+_immediate im=b010101110
+# 555 7777 8888
+# bits 10:0 of h57578 are 101 0111 1000
+store im<<=b10101111000
+
+# get r0 to r1
+stack up
+
+## get address h5757C into im and write r1 to that address
+# 5555 7777 5
+# bits 19:11 of h5757C are 0101 0111 0
+_immediate im=b010101110
+# 555 7777 CCCC
+# bits 10:0 of h5757C are 101 0111 1100
+store im<<=b10101111100
+
+# get r0 to r1
+stack up
+
+## get address h57580 into im and write r1 to that address
+# 5555 7777 5
+# bits 19:11 of h57580 are 0101 0111 0
+_immediate im=b010101110
+# 555 8888 0000
+# bits 10:0 of h57580 are 101 1000 0000
+store im<<=b10110000000
+
+## finish test
+halt
diff --git a/tests/stack_machine_load_store/test.v b/tests/stack_machine_load_store/test.v
new file mode 120000
index 0000000..f5b6a59
--- /dev/null
+++ b/tests/stack_machine_load_store/test.v
@@ -0,0 +1 @@
+../stack_machine_store/test.v \ No newline at end of file
diff --git a/tests/stack_machine_load_store/words_to_verify.mem b/tests/stack_machine_load_store/words_to_verify.mem
new file mode 100644
index 0000000..349b213
--- /dev/null
+++ b/tests/stack_machine_load_store/words_to_verify.mem
@@ -0,0 +1,12 @@
+// address value
+ 57574 0808
+ 57576 0707
+
+ 57578 0606
+ 5757A 0505
+
+ 5757C 0404
+ 5757E 0303
+
+ 57580 0202
+ 57582 0101
diff --git a/tests/stack_machine_mul/instructions.s.tcl b/tests/stack_machine_mul/instructions.s.tcl
new file mode 100755
index 0000000..8473aef
--- /dev/null
+++ b/tests/stack_machine_mul/instructions.s.tcl
@@ -0,0 +1,42 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### store 4 values to memory; load 2 of them back, multiply them and store the
+### result; load another 2, multiply them and store the result;
+### this is similar to addition and substraction tests
+
+set_sp h7FFFC
+
+## store first 2 factors
+const 483091365
+store@ h1EEE0
+const 74683203
+store@ h1EEE4
+
+## store other 2 factors
+const 8436
+store@ h1EEEC
+const -14020
+store@ h1EEF0
+
+## perform the first multiplication
+load@ h1EEE0
+stack down
+load@ h1EEE4
+# multiplying 483091365 by 74683203 should yield 36078810479842095
+# if we take lowest 32 bits of 36078810479842095 we get 2861683503
+mul
+stack up
+store@ h1EEE8
+
+## perform the second multiplication
+load@ h1EEEC
+stack down
+load@ h1EEF0
+# multiplying 8436 by -14020 should yield -118272720 (which fits in 32 bits)
+mul
+stack up
+store@ h1EEF4
+
+halt
diff --git a/tests/stack_machine_mul/test.v b/tests/stack_machine_mul/test.v
new file mode 120000
index 0000000..f5b6a59
--- /dev/null
+++ b/tests/stack_machine_mul/test.v
@@ -0,0 +1 @@
+../stack_machine_store/test.v \ No newline at end of file
diff --git a/tests/stack_machine_mul/words_to_verify.mem b/tests/stack_machine_mul/words_to_verify.mem
new file mode 100644
index 0000000..3095d6e
--- /dev/null
+++ b/tests/stack_machine_mul/words_to_verify.mem
@@ -0,0 +1,19 @@
+// address value
+ 1EEE0 63A5 // 483091365 in hex is 1CCB63A5
+ 1EEE2 1CCB
+
+ 1EEE4 9343 // 74683203 in hex is 4739343
+ 1EEE6 473
+
+ 1EEE8 D32F // 2861683503 in hex is AA91D32F
+ 1EEEA AA91
+
+
+ 1EEEC 20F4 // 8436 in hex is 20F4
+ 1EEEE 0
+
+ 1EEF0 C93C // -14020 in hex is FFFFC93C
+ 1EEF2 FFFF
+
+ 1EEF4 4D30 // -118272720 in hex is F8F34D30
+ 1EEF6 F8F3
diff --git a/tests/stack_machine_multiinstructions_load_store/instructions.s.tcl b/tests/stack_machine_multiinstructions_load_store/instructions.s.tcl
new file mode 100755
index 0000000..58792d3
--- /dev/null
+++ b/tests/stack_machine_multiinstructions_load_store/instructions.s.tcl
@@ -0,0 +1,32 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### do the same as in stack_machine_2 test, but use higher level instructions
+
+## set sp to h7FFFC
+set_sp h7FFFC
+## get value h01010202 into r1
+const h01010202
+## get value h03030404 into r1
+stack down
+const h03030404
+## get value h05050606 into r1
+stack down
+const h05050606
+## get value h07070808 into r1
+stack down
+const h07070808
+## write r1 to address h57574
+store@ h57574
+stack up
+## write r1 to address h57578
+store@ h57578
+stack up
+## write r1 to address h5757C
+store@ h5757C
+stack up
+## write r1 to address h57580
+store@ h57580
+## finish test
+halt
diff --git a/tests/stack_machine_multiinstructions_load_store/test.v b/tests/stack_machine_multiinstructions_load_store/test.v
new file mode 120000
index 0000000..f5b6a59
--- /dev/null
+++ b/tests/stack_machine_multiinstructions_load_store/test.v
@@ -0,0 +1 @@
+../stack_machine_store/test.v \ No newline at end of file
diff --git a/tests/stack_machine_multiinstructions_load_store/words_to_verify.mem b/tests/stack_machine_multiinstructions_load_store/words_to_verify.mem
new file mode 120000
index 0000000..b2e490b
--- /dev/null
+++ b/tests/stack_machine_multiinstructions_load_store/words_to_verify.mem
@@ -0,0 +1 @@
+../stack_machine_load_store/words_to_verify.mem \ No newline at end of file
diff --git a/tests/stack_machine_store/instructions.s.tcl b/tests/stack_machine_store/instructions.s.tcl
new file mode 100755
index 0000000..ddc3a8e
--- /dev/null
+++ b/tests/stack_machine_store/instructions.s.tcl
@@ -0,0 +1,29 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### simple test - write value hDEADBEEF to address h3ABCD
+
+## get value hDEADBEEF into r1
+
+# DDDD EEEE AA
+# bits 31:22 of hDEADBEEF are 1101 1110 10
+_immediate im<<=b1101111010
+# AA DDDD BBBB E
+# bits 21:11 of hDEADBEEF are 10 1101 1011 1
+_immediate im<<=b10110110111
+# EEE EEEE FFFF
+# bits 10:0 of hDEADBEEF are 110 1110 1111
+_exchange_im im<<=b11011101111
+
+## get address h7579A into im
+
+# 7777 5555 7
+# bits 19:11 of h7579A are 0111 0101 0
+_immediate im=b011101010
+# 777 9999 AAAA
+# bits 10:0 of h7579A are 111 1001 1010
+store im<<=b11110011010
+
+## finish test
+halt
diff --git a/tests/stack_machine_store/test.v b/tests/stack_machine_store/test.v
new file mode 100644
index 0000000..4c2326a
--- /dev/null
+++ b/tests/stack_machine_store/test.v
@@ -0,0 +1,148 @@
+`default_nettype none
+
+`include "messages.vh"
+
+`ifndef SIMULATION
+ `error_SIMULATION_not_defined
+; /* Cause syntax error */
+`endif
+
+`ifndef INSTRUCTIONS_COUNT
+ `error_INSTRUCTIONS_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
+`ifndef WORDS_TO_VERIFY_COUNT
+ `error_WORDS_TO_VERIFY_COUNT_must_be_defined
+; /* Cause syntax error */
+`endif
+
+module stack_machine_test();
+ wire M_ACK_I;
+ wire M_CLK_I;
+ wire [19:0] M_ADR_O;
+ wire [15:0] M_DAT_I;
+ wire [15:0] M_DAT_O;
+ wire M_RST_I;
+ wire M_STB_O;
+ wire M_CYC_O;
+ wire M_WE_O;
+ wire M_STALL_I;
+
+ wire S_ACK_O;
+ wire S_CLK_I;
+ wire [17:0] S_ADR_I;
+ wire [15:0] S_DAT_I;
+ wire [15:0] S_DAT_O;
+ wire S_RST_I;
+ wire S_STB_I;
+ wire S_WE_I;
+ wire S_STALL_O;
+
+ /* Non-wishbone */
+ wire M_finished;
+
+ stack_machine stack_machine
+ (
+ .ACK_I(M_ACK_I),
+ .CLK_I(M_CLK_I),
+ .ADR_O(M_ADR_O),
+ .DAT_I(M_DAT_I),
+ .DAT_O(M_DAT_O),
+ .RST_I(M_RST_I),
+ .STB_O(M_STB_O),
+ .CYC_O(M_CYC_O),
+ .WE_O(M_WE_O),
+ .STALL_I(M_STALL_I),
+
+ .finished(M_finished)
+ );
+
+ memory_slave_model
+ #(
+ .SLAVE_NR(0),
+ .WRITABLE(1),
+ .WORDS_TO_INITIALIZE(`INSTRUCTIONS_COUNT),
+ .INITIAL_CONTENTS_FILE("instructions.mem")
+ ) slave
+ (
+ .ACK_O(S_ACK_O),
+ .CLK_I(S_CLK_I),
+ .ADR_I(S_ADR_I),
+ .DAT_I(S_DAT_I),
+ .DAT_O(S_DAT_O),
+ .RST_I(S_RST_I),
+ .STB_I(S_STB_I),
+ .WE_I(S_WE_I),
+ .STALL_O(S_STALL_O)
+ );
+
+ reg CLK;
+ reg RST;
+
+ assign M_ACK_I = S_ACK_O;
+ assign M_CLK_I = CLK;
+ assign M_DAT_I = S_DAT_O;
+ assign M_RST_I = RST;
+ assign M_STALL_I = S_STALL_O;
+
+ assign S_CLK_I = CLK;
+ assign S_ADR_I = M_ADR_O[17:0]; /* Ignore 2 topmost bits */
+ assign S_DAT_I = M_DAT_O;
+ assign S_RST_I = RST;
+ assign S_STB_I = M_STB_O && M_CYC_O;
+ assign S_WE_I = M_WE_O;
+
+ integer i, j;
+ reg [17:0] address;
+ reg [15:0] expected_value;
+
+ reg [19:0] words_to_verify[`WORDS_TO_VERIFY_COUNT * 2 - 1 : 0];
+
+ initial begin
+ CLK <= 0;
+ RST <= 1;
+
+ for (i = 0; i < 1000; i++) begin
+ #1;
+
+ CLK <= ~CLK;
+
+ if (CLK) begin
+ RST <= 0;
+ `DBG(({"step: %0d just_received: %d r0: h%x ",
+ "r1: h%x im: %b sp: h%x atu: h%x ia: %d"},
+ stack_machine.step,
+ stack_machine.instruction_just_received, stack_machine.r0,
+ stack_machine.r1, stack_machine.im, stack_machine.sp,
+ stack_machine.addr_to_use,
+ stack_machine.immediate_addressing));
+ end
+
+ if (M_finished) begin
+ $readmemh("words_to_verify.mem", words_to_verify,
+ 0, `WORDS_TO_VERIFY_COUNT * 2 - 1);
+
+ for (j = 0; j < `WORDS_TO_VERIFY_COUNT; j++) begin
+ /*
+ * Byte-grained addresses are used in CPU, and we also use
+ * them in tclasm opcodes and in files with words for
+ * verification. Slaves and wishbone address 16-bit words, not
+ * single bytes. We need to drop the lowest bit here.
+ */
+ address = words_to_verify[2 * j][19:1];
+ expected_value = words_to_verify[2 * j + 1];
+ if (slave.memory[address] !== expected_value) begin
+ `MSG(("error: expected h%x at h%x, but got h%x",
+ expected_value, address, slave.memory[address]));
+ end
+ end
+
+ $finish;
+ end // if (M_finished)
+ end // for (i = 0; i < 1000; i++)
+
+ $display("error: cpu hasn't finished its operations in 500 ticks");
+ $finish;
+ end // initial begin
+endmodule // stack_machine_test
diff --git a/tests/stack_machine_store/words_to_verify.mem b/tests/stack_machine_store/words_to_verify.mem
new file mode 100644
index 0000000..9056a1b
--- /dev/null
+++ b/tests/stack_machine_store/words_to_verify.mem
@@ -0,0 +1,3 @@
+// address value
+ 7579A BEEF
+ 7579C DEAD
diff --git a/tests/stack_machine_sub/instructions.s.tcl b/tests/stack_machine_sub/instructions.s.tcl
new file mode 100755
index 0000000..3f8fb48
--- /dev/null
+++ b/tests/stack_machine_sub/instructions.s.tcl
@@ -0,0 +1,21 @@
+#!/usr/bin/env tclsh
+
+source tclasm.tcl
+
+### store 2 values to memory, load them back, substract them and store the
+### result; this is analogous to addition test
+
+set_sp h7FFFC
+const 68996288
+store@ h1EEE0
+const 540904416
+store@ h1EEE4
+
+load@ h1EEE0
+stack down
+load@ h1EEE4
+# substracting 540904416 from 68996288 should yield -471908128
+sub
+stack up
+store@ h1EEE8
+halt
diff --git a/tests/stack_machine_sub/test.v b/tests/stack_machine_sub/test.v
new file mode 120000
index 0000000..f5b6a59
--- /dev/null
+++ b/tests/stack_machine_sub/test.v
@@ -0,0 +1 @@
+../stack_machine_store/test.v \ No newline at end of file
diff --git a/tests/stack_machine_sub/words_to_verify.mem b/tests/stack_machine_sub/words_to_verify.mem
new file mode 100644
index 0000000..cc666fa
--- /dev/null
+++ b/tests/stack_machine_sub/words_to_verify.mem
@@ -0,0 +1,9 @@
+// address value
+ 1EEE0 CCC0 // 68996288 in hex is 41CCCC0
+ 1EEE2 41C
+
+ 1EEE4 8BE0 // 540904416 in hex is 203D8BE0
+ 1EEE6 203D
+
+ 1EEE8 40E0 // -471908128 in hex is E3DF40E0
+ 1EEEA E3DF
diff --git a/tests/vga/VGAdump_expected.ppm b/tests/vga/VGAdump_expected.ppm
new file mode 100644
index 0000000..774a3ee
--- /dev/null
+++ b/tests/vga/VGAdump_expected.ppm
@@ -0,0 +1,38403 @@
+P3
+640 480
+7
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 2 7 5 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7
+ 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
+ 0 0 7 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 2 7 5 0 0 7
+ 0 0 7 0 0 7 2 7 5 2 7 5 2 7 5 0 0 7 0 0 7 0 0 7
+ 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7 0 0 7
+ 0 0 7 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 2 7 5 0 0 7
diff --git a/tests/vga/test.v b/tests/vga/test.v
new file mode 100644
index 0000000..961142b
--- /dev/null
+++ b/tests/vga/test.v
@@ -0,0 +1,252 @@
+`default_nettype none
+`timescale 1ns/1ns
+
+`include "messages.vh"
+
+`ifndef SIMULATION
+ `error_SIMULATION_not_defined
+; /* Cause syntax error */
+`endif
+
+`define MAX_WORD_IDX 1280
+
+/*
+ * Using model from models/master.v would require an operations file over 1280
+ * lines long, so we'll write a custom master instead.
+ */
+module simple_master
+ (
+ input wire ACK_I,
+ input wire CLK_I,
+ output wire [19:0] ADR_O,
+ input wire [15:0] DAT_I,
+ output wire [15:0] DAT_O,
+ input wire RST_I,
+ output wire STB_O,
+ output wire CYC_O,
+ output wire WE_O,
+ input wire STALL_I,
+
+ /* Non-wishbone */
+ output wire finished
+ );
+
+ integer commands_sent;
+ integer commands_acknowledged;
+
+ wire sent;
+ wire acknowledged;
+ assign sent = !STALL_I && STB_O;
+ assign acknowledged
+ = ((commands_acknowledged == commands_sent && STB_O && !STALL_I) ||
+ commands_acknowledged < commands_sent) && ACK_I;
+
+ wire sent_all;
+ wire acknowledged_all;
+ assign sent_all = commands_sent == `MAX_WORD_IDX + 1 ||
+ (commands_sent == `MAX_WORD_IDX && sent);
+ assign acknowledged_all = commands_acknowledged == `MAX_WORD_IDX + 1 ||
+ (commands_acknowledged == `MAX_WORD_IDX &&
+ acknowledged);
+
+ assign finished = acknowledged_all;
+
+ reg sending;
+
+ assign STB_O = sending;
+ assign WE_O = sending;
+ assign CYC_O = !acknowledged_all && !RST_I;
+ assign ADR_O = commands_sent;
+ assign DAT_O = commands_sent == `MAX_WORD_IDX ? 1 :
+ {commands_sent[6:0], 1'b1, commands_sent[6:0], 1'b0};
+
+ initial begin
+ commands_sent <= 0;
+ commands_acknowledged <= 0;
+ end
+
+ always @ (posedge CLK_I) begin
+ if (RST_I) begin
+ sending <= 0;
+ commands_sent <= 0;
+ commands_acknowledged <= 0;
+ end else begin
+ if (sent)
+ commands_sent <= commands_sent + 1;
+
+ if (acknowledged)
+ commands_acknowledged <= commands_acknowledged + 1;
+
+ if (sent_all)
+ sending <= 0;
+ else
+ sending <= 1;
+ end // else: !if(RST_I)
+ end // always @ (posedge CLK_I)
+endmodule // simple_master
+
+module vga_test
+ #(
+ /* wishbone clock isn't in any special way related to clock_25mhz */
+ parameter WISHBONE_CLOCK_PERIOD = 14 /* ns */
+ ) ();
+ wire M_ACK_I;
+ wire M_CLK_I;
+ wire [19:0] M_ADR_O;
+ wire [15:0] M_DAT_I;
+ wire [15:0] M_DAT_O;
+ wire M_RST_I;
+ wire M_STB_O;
+ wire M_CYC_O;
+ wire M_WE_O;
+ wire M_STALL_I;
+
+ wire S_ACK_O;
+ wire S_CLK_I;
+ wire [10:0] S_ADR_I;
+ wire [15:0] S_DAT_I;
+ wire [15:0] S_DAT_O;
+ wire S_RST_I;
+ wire S_STB_I;
+ wire S_WE_I;
+ wire S_STALL_O;
+
+ /* Non-wishbone */
+ wire M_finished;
+
+ reg clock_25mhz;
+ wire vga_h_sync;
+ wire vga_v_sync;
+ wire [2:0] vga_red;
+ wire [2:0] vga_green;
+ wire [2:0] vga_blue;
+
+ wire [9:0] image_writes;
+
+ simple_master master
+ (
+ .ACK_I(M_ACK_I),
+ .CLK_I(M_CLK_I),
+ .ADR_O(M_ADR_O),
+ .DAT_I(M_DAT_I),
+ .DAT_O(M_DAT_O),
+ .RST_I(M_RST_I),
+ .STB_O(M_STB_O),
+ .CYC_O(M_CYC_O),
+ .WE_O(M_WE_O),
+ .STALL_I(M_STALL_I),
+
+ .finished(M_finished)
+ );
+
+ vga
+ #(
+ .FONT_FILE("../../design/font.mem")
+ ) vga_controller
+ (
+ .ACK_O(S_ACK_O),
+ .CLK_I(S_CLK_I),
+ .ADR_I(S_ADR_I),
+ .DAT_I(S_DAT_I),
+ .DAT_O(S_DAT_O),
+ .RST_I(S_RST_I),
+ .STB_I(S_STB_I),
+ .WE_I(S_WE_I),
+ .STALL_O(S_STALL_O),
+
+ /* Non-wishbone */
+ .clock_25mhz(clock_25mhz),
+ .h_sync(vga_h_sync),
+ .v_sync(vga_v_sync),
+ .red(vga_red),
+ .green(vga_green),
+ .blue(vga_blue)
+ );
+
+ VGA_640_480_60Hz vga_display
+ (
+ .horizontal_sync(vga_h_sync),
+ .vertical_sync(vga_v_sync),
+
+ .red(vga_red),
+ .green(vga_green),
+ .blue(vga_blue),
+
+ .image_writes(image_writes)
+ );
+
+ reg CLK;
+ reg RST;
+
+ assign M_ACK_I = S_ACK_O;
+ assign M_CLK_I = CLK;
+ assign M_DAT_I = S_DAT_O;
+ assign M_RST_I = RST;
+ assign M_STALL_I = S_STALL_O;
+
+ assign S_CLK_I = CLK;
+ assign S_ADR_I = M_ADR_O[10:0]; /* Ignore 9 topmost bits */
+ assign S_DAT_I = M_DAT_O;
+ assign S_RST_I = RST;
+ assign S_STB_I = M_STB_O && M_CYC_O;
+ assign S_WE_I = M_WE_O;
+
+ initial begin
+ CLK <= 0;
+ RST <= 1;
+
+ while (1) begin
+ #(WISHBONE_CLOCK_PERIOD / 2);
+
+ CLK <= ~CLK;
+
+ if (CLK)
+ RST <= 0;
+ end
+ end // initial begin
+
+ initial begin
+ clock_25mhz <= 0;
+
+ while (1) begin
+ #20;
+
+ clock_25mhz <= ~clock_25mhz;
+ end
+ end
+
+ always @ (image_writes) begin
+ if (image_writes > 0) begin
+ if (!M_finished)
+ $display("error: master hasn't finished its operations");
+
+ $finish;
+ end
+ end
+
+ parameter SCREEN_DISPLAY_TIME = 10 ** 9 / 60; /* 60 screens per second */
+ parameter MAX_SIM_TIME = `MAX_WORD_IDX * WISHBONE_CLOCK_PERIOD +
+ SCREEN_DISPLAY_TIME + 1000; /* Some safety margin */
+
+ initial begin
+ #MAX_SIM_TIME;
+
+ if (!M_finished) begin
+ $display("error: master hasn't finished its operations in %0d ns",
+ MAX_SIM_TIME);
+ end
+
+ if (image_writes == 0)
+ $display("error: no VGA image displayed in %0d ns", MAX_SIM_TIME);
+
+ $finish;
+ end // initial begin
+
+ always @ (vga_controller.v_counter or vga_controller.h_counter) begin
+ // `MSG(("v_counter: %0d h_counter: %0d",
+ // vga_controller.v_counter, vga_controller.h_counter));
+
+ // `MSG(("CLK: %0d pw_on: %0d M_finished: %0d ADR: %0d STB: %0d WE: %0d D_DAT_I: %x",
+ // CLK, vga_controller.powered_on, M_finished, S_ADR_I, vga_controller.STB_I, vga_controller.WE_I, vga_controller.DAT_I));
+ end
+endmodule // vga_test