aboutsummaryrefslogtreecommitdiff
path: root/tests
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-01 17:05:27 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-01 17:05:27 +0200
commit9ae139a9a5bfdbcd2f5d47a266faff28e100f786 (patch)
tree422603c8b6bc43eb29d5d4f5ccf6c9df8c7f2f05 /tests
parente1f3a0e577f5aa9d52f3053969171c675430878f (diff)
downloadAGH-engineering-thesis-9ae139a9a5bfdbcd2f5d47a266faff28e100f786.tar.gz
AGH-engineering-thesis-9ae139a9a5bfdbcd2f5d47a266faff28e100f786.zip
remove old debugging code
Diffstat (limited to 'tests')
-rw-r--r--tests/vga/test.v8
1 files changed, 0 insertions, 8 deletions
diff --git a/tests/vga/test.v b/tests/vga/test.v
index 961142b..7a9edd9 100644
--- a/tests/vga/test.v
+++ b/tests/vga/test.v
@@ -241,12 +241,4 @@ module vga_test
$finish;
end // initial begin
-
- always @ (vga_controller.v_counter or vga_controller.h_counter) begin
- // `MSG(("v_counter: %0d h_counter: %0d",
- // vga_controller.v_counter, vga_controller.h_counter));
-
- // `MSG(("CLK: %0d pw_on: %0d M_finished: %0d ADR: %0d STB: %0d WE: %0d D_DAT_I: %x",
- // CLK, vga_controller.powered_on, M_finished, S_ADR_I, vga_controller.STB_I, vga_controller.WE_I, vga_controller.DAT_I));
- end
endmodule // vga_test