aboutsummaryrefslogtreecommitdiff
path: root/tests/sram_slave
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-08 17:27:48 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-08 17:27:48 +0200
commitcbc2686373a5fe81b6b28f845edc323d4cae56e5 (patch)
treef53d38cbb32f441450f8d33ff315566f1e98ce5f /tests/sram_slave
parent0a49a2c1496888f0014cf09feb425d9cc47a75ba (diff)
downloadAGH-engineering-thesis-cbc2686373a5fe81b6b28f845edc323d4cae56e5.tar.gz
AGH-engineering-thesis-cbc2686373a5fe81b6b28f845edc323d4cae56e5.zip
modernize the build (test) system
Diffstat (limited to 'tests/sram_slave')
-rw-r--r--tests/sram_slave/Makefile7
1 files changed, 7 insertions, 0 deletions
diff --git a/tests/sram_slave/Makefile b/tests/sram_slave/Makefile
new file mode 100644
index 0000000..7619ccd
--- /dev/null
+++ b/tests/sram_slave/Makefile
@@ -0,0 +1,7 @@
+DEPENDS = operations.mem sram.v master.v sram_slave.v messages.vh
+
+IVFLAGS = -DMASTER_OPERATIONS_COUNT=$(call FILE_LINES,operations.mem)
+
+TOP = sram_slave_test
+
+include ../../Makefile.test