aboutsummaryrefslogtreecommitdiff
path: root/Makefile
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-02 14:20:39 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-02 14:20:39 +0200
commit5cf95f5885033c04ce26c53a0e10e8f2636eac25 (patch)
treec84c71f242e8260fc84efd46859dd5655601ff99 /Makefile
parent8362f88d38e2baf0dc022e848c8d56b1a6476c5b (diff)
downloadAGH-engineering-thesis-5cf95f5885033c04ce26c53a0e10e8f2636eac25.tar.gz
AGH-engineering-thesis-5cf95f5885033c04ce26c53a0e10e8f2636eac25.zip
add bench for embedded ram wishbone slave
Diffstat (limited to 'Makefile')
-rw-r--r--Makefile10
1 files changed, 10 insertions, 0 deletions
diff --git a/Makefile b/Makefile
index 6e9d578..1103ff8 100644
--- a/Makefile
+++ b/Makefile
@@ -30,6 +30,7 @@ TESTS := \
div \
vga \
sram_slave \
+ embedded_bram_slave \
$(addprefix stack_machine_,$(STACK_MACHINE_TESTS))
# For each of these Makefile will attempt to generate VGAdump.ppm
@@ -89,6 +90,15 @@ tests/intercon/test.vvp : tests/intercon/operations.mem tests/intercon/test.v \
-DMASTER_OPERATIONS_COUNT=$(call FILE_LINES,$<) \
$(filter %.v,$^) -o $@
+tests/embedded_bram_slave/test.vvp : tests/embedded_bram_slave/operations.mem \
+ tests/embedded_bram_slave/rom.mem \
+ tests/embedded_bram_slave/test.v models/master.v \
+ design/embedded_bram_slave.v include/messages.vh
+ $(IV) $(IVFLAGS) -s embedded_bram_test \
+ -DMASTER_OPERATIONS_COUNT=$(call FILE_LINES,$<) \
+ -DROM_WORDS_COUNT=$(call FILE_LINES,$(filter %rom.mem,$^)) \
+ $(filter %.v,$^) -o $@
+
tests/div/test.vvp : tests/div/test.v design/div.v include/messages.vh
$(IV) $(IVFLAGS) -s div_test $(filter %.v,$^) -o $@