example.blif : example.v yosys -p 'synth_ice40 -top vga_example -blif example.blif' example.v example.asc : example.blif arachne-pnr -d 8k -o example.asc -p example.pcf example.blif -P ct256 example.bin : example.asc icepack example.asc example.bin prog : example.bin iceprogduino example.bin