all : example.bin example.blif : example.v git-yosys -p 'synth_ice40 -top top -blif example.blif' example.v example.json : example.v git-yosys -p 'synth_ice40 -top top -json example.json' example.v example.asc : example.json mapping.pcf git-nextpnr-ice40 --hx8k --asc example.asc --pcf mapping.pcf --json example.json --package ct256 example.bin : example.asc git-icepack example.asc example.bin prog : example.bin sudo iceprogduino example.bin clean : -rm example.bin example.asc example.blif example.json .PHONY : clean all