CC = gcc CFLAGS = -std=c89 -pedantic -Wall -Werror -O2 IV = iverilog YOSYS = git-yosys PNR = git-nextpnr-ice40 ICEPACK = git-icepack ICETIME = git-icetime TOPMODULE = soc PCF = design/pins.pcf # Uncomment this line when needed #DEBUG=1