# Uncomment this line when needed #DEBUG=1 CC = gcc CFLAGS = -std=c99 -pedantic -Wall -Werror -O2 ifdef DEBUG CFLAGS += -O0 -g else CFLAGS += -O2 endif IV = iverilog VVP = vvp YOSYS = git-yosys PNR = git-nextpnr-ice40 ICEPACK = git-icepack ICETIME = git-icetime WAT2WASM = wat2wasm TOPMODULE = soc PCF = design/pins.pcf