From 1741bee182f115d899bd31642b32f70b0c7ed32f Mon Sep 17 00:00:00 2001 From: Wojciech Kosior Date: Tue, 6 Oct 2020 11:11:24 +0200 Subject: add translation of br_if instruction --- tests/wasm_compile_simple_module/test.v | 6 +++--- 1 file changed, 3 insertions(+), 3 deletions(-) (limited to 'tests/wasm_compile_simple_module') diff --git a/tests/wasm_compile_simple_module/test.v b/tests/wasm_compile_simple_module/test.v index 80f5590..5e28ba1 100644 --- a/tests/wasm_compile_simple_module/test.v +++ b/tests/wasm_compile_simple_module/test.v @@ -159,7 +159,7 @@ module wasm_compile_test(); CLK <= 0; RST <= 1; - for (i = 0; i < 5000; i++) begin + for (i = 0; i < 10000; i++) begin #1; CLK <= ~CLK; @@ -194,9 +194,9 @@ module wasm_compile_test(); $finish; end // if (M_finished) - end // for (i = 0; i < 5000; i++) + end // for (i = 0; i < 10000; i++) - $display("error: cpu hasn't finished its operations in 2500 ticks"); + $display("error: cpu hasn't finished its operations in 5000 ticks"); $finish; end // initial begin endmodule // stack_machine_test -- cgit v1.2.3