From b4e6d666a8c625e1c962312f7f2eb4cb54395dc7 Mon Sep 17 00:00:00 2001 From: Wojciech Kosior Date: Sat, 5 Sep 2020 12:56:47 +0200 Subject: replace fixed-width constant from now-parameterized wb slave model --- models/slave.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'models') diff --git a/models/slave.v b/models/slave.v index 4f2cb5c..f062a04 100644 --- a/models/slave.v +++ b/models/slave.v @@ -98,7 +98,7 @@ module memory_slave_model /* only drive data outputs for read commands */ assign DAT_O = (command_acknowledged && !WE_I_to_process) ? - memory[ADR_I_to_process] : 16'bx; + memory[ADR_I_to_process] : {(8 * WORD_SIZE - 1){1'bx}}; assign STALL_O = !can_accept; -- cgit v1.2.3