From 10115af6ef1a219ea64e7b523ce7d40ccfdffa7f Mon Sep 17 00:00:00 2001 From: Wojciech Kosior Date: Wed, 2 Sep 2020 14:31:55 +0200 Subject: name correction: remove "wb" from "sram_wb_slave" --- design/sram_slave.v | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) (limited to 'design') diff --git a/design/sram_slave.v b/design/sram_slave.v index bcc2f1b..718ef8a 100644 --- a/design/sram_slave.v +++ b/design/sram_slave.v @@ -1,6 +1,6 @@ `default_nettype none -module sram_wb_slave +module sram_slave ( /* Interface to memory */ output wire [17:0] sram_addr, @@ -103,4 +103,4 @@ module sram_wb_slave else sram_we_not <= 1; end -endmodule // sram_wb_slave +endmodule // sram_slave -- cgit v1.2.3