From 726635c6ca0fbea51fb896f3a7199550eb8d5f41 Mon Sep 17 00:00:00 2001 From: Wojciech Kosior Date: Mon, 7 Sep 2020 14:01:58 +0200 Subject: add wrapper from wb master interface with 32-bit data port to wb interface with 16-bit data port together with testbench --- Makefile | 8 ++++++++ 1 file changed, 8 insertions(+) (limited to 'Makefile') diff --git a/Makefile b/Makefile index 73a6aff..43e6621 100644 --- a/Makefile +++ b/Makefile @@ -55,6 +55,7 @@ TESTS := \ sram_slave \ embedded_bram_slave \ soc_simple_display \ + interface_wrapper \ $(addprefix stack_machine_old_,$(STACK_MACHINE_OLD_TESTS)) \ $(addprefix stack_machine_,$(STACK_MACHINE_TESTS)) @@ -152,6 +153,13 @@ tests/intercon/test.vvp : tests/intercon/operations.mem tests/intercon/test.v \ -DMASTER_OPERATIONS_COUNT=$(call FILE_LINES,$<) \ $(filter %.v,$^) -o $@ +tests/interface_wrapper/test.vvp : tests/interface_wrapper/operations.mem \ + tests/interface_wrapper/test.v models/slave.v models/master.v \ + design/interface_wrapper.v include/messages.vh + $(IV) $(IVFLAGS) -s interface_wrapper_test \ + -DMASTER_OPERATIONS_COUNT=$(call FILE_LINES,$<) \ + $(filter %.v,$^) -o $@ + tests/embedded_bram_slave/test.vvp : tests/embedded_bram_slave/operations.mem \ tests/embedded_bram_slave/rom.mem \ tests/embedded_bram_slave/test.v models/master.v \ -- cgit v1.2.3