From 2878d044c741a708f315c42b1833428fe2d93445 Mon Sep 17 00:00:00 2001 From: Wojciech Kosior Date: Sat, 21 Nov 2020 18:43:32 +0100 Subject: fix typo in comment --- design/embedded_bram_slave.v | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/design/embedded_bram_slave.v b/design/embedded_bram_slave.v index 3829316..519c772 100644 --- a/design/embedded_bram_slave.v +++ b/design/embedded_bram_slave.v @@ -1,5 +1,5 @@ /* - * This is very to design/slave.v, although with 1 important difference + * This is very similar to design/slave.v, although with 1 important difference * - it's meant to be synthesizable (and use iCE40HX8K's embedded RAM). */ `default_nettype none -- cgit v1.2.3