From 10115af6ef1a219ea64e7b523ce7d40ccfdffa7f Mon Sep 17 00:00:00 2001 From: Wojciech Kosior Date: Wed, 2 Sep 2020 14:31:55 +0200 Subject: name correction: remove "wb" from "sram_wb_slave" --- design/sram_slave.v | 4 ++-- tests/sram_slave/test.v | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/design/sram_slave.v b/design/sram_slave.v index bcc2f1b..718ef8a 100644 --- a/design/sram_slave.v +++ b/design/sram_slave.v @@ -1,6 +1,6 @@ `default_nettype none -module sram_wb_slave +module sram_slave ( /* Interface to memory */ output wire [17:0] sram_addr, @@ -103,4 +103,4 @@ module sram_wb_slave else sram_we_not <= 1; end -endmodule // sram_wb_slave +endmodule // sram_slave diff --git a/tests/sram_slave/test.v b/tests/sram_slave/test.v index 5e13251..6b075e9 100644 --- a/tests/sram_slave/test.v +++ b/tests/sram_slave/test.v @@ -77,7 +77,7 @@ module sram_slave_test(); .sram_we_not(sram_we_not) ); - sram_wb_slave slave + sram_slave slave ( .sram_addr(sram_addr), .sram_io(sram_io), @@ -133,4 +133,4 @@ module sram_slave_test(); $display("error: master hasn't finished its operations in 300 ticks"); $finish; end -endmodule // sram_wb_slave_test +endmodule // sram_slave_test -- cgit v1.2.3