aboutsummaryrefslogtreecommitdiff
path: root/design
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-02 14:31:55 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-02 14:31:55 +0200
commit10115af6ef1a219ea64e7b523ce7d40ccfdffa7f (patch)
tree32df4554886b28f7473418df0978b03fd153313c /design
parent5cf95f5885033c04ce26c53a0e10e8f2636eac25 (diff)
downloadAGH-engineering-thesis-10115af6ef1a219ea64e7b523ce7d40ccfdffa7f.tar.gz
AGH-engineering-thesis-10115af6ef1a219ea64e7b523ce7d40ccfdffa7f.zip
name correction: remove "wb" from "sram_wb_slave"
Diffstat (limited to 'design')
-rw-r--r--design/sram_slave.v4
1 files changed, 2 insertions, 2 deletions
diff --git a/design/sram_slave.v b/design/sram_slave.v
index bcc2f1b..718ef8a 100644
--- a/design/sram_slave.v
+++ b/design/sram_slave.v
@@ -1,6 +1,6 @@
`default_nettype none
-module sram_wb_slave
+module sram_slave
(
/* Interface to memory */
output wire [17:0] sram_addr,
@@ -103,4 +103,4 @@ module sram_wb_slave
else
sram_we_not <= 1;
end
-endmodule // sram_wb_slave
+endmodule // sram_slave