aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorWojciech Kosior <kwojtus@protonmail.com>2020-09-02 14:31:55 +0200
committerWojciech Kosior <kwojtus@protonmail.com>2020-09-02 14:31:55 +0200
commit10115af6ef1a219ea64e7b523ce7d40ccfdffa7f (patch)
tree32df4554886b28f7473418df0978b03fd153313c
parent5cf95f5885033c04ce26c53a0e10e8f2636eac25 (diff)
downloadAGH-engineering-thesis-10115af6ef1a219ea64e7b523ce7d40ccfdffa7f.tar.gz
AGH-engineering-thesis-10115af6ef1a219ea64e7b523ce7d40ccfdffa7f.zip
name correction: remove "wb" from "sram_wb_slave"
-rw-r--r--design/sram_slave.v4
-rw-r--r--tests/sram_slave/test.v4
2 files changed, 4 insertions, 4 deletions
diff --git a/design/sram_slave.v b/design/sram_slave.v
index bcc2f1b..718ef8a 100644
--- a/design/sram_slave.v
+++ b/design/sram_slave.v
@@ -1,6 +1,6 @@
`default_nettype none
-module sram_wb_slave
+module sram_slave
(
/* Interface to memory */
output wire [17:0] sram_addr,
@@ -103,4 +103,4 @@ module sram_wb_slave
else
sram_we_not <= 1;
end
-endmodule // sram_wb_slave
+endmodule // sram_slave
diff --git a/tests/sram_slave/test.v b/tests/sram_slave/test.v
index 5e13251..6b075e9 100644
--- a/tests/sram_slave/test.v
+++ b/tests/sram_slave/test.v
@@ -77,7 +77,7 @@ module sram_slave_test();
.sram_we_not(sram_we_not)
);
- sram_wb_slave slave
+ sram_slave slave
(
.sram_addr(sram_addr),
.sram_io(sram_io),
@@ -133,4 +133,4 @@ module sram_slave_test();
$display("error: master hasn't finished its operations in 300 ticks");
$finish;
end
-endmodule // sram_wb_slave_test
+endmodule // sram_slave_test